fc2ブログ

[IcarusVerilog]使い方

■インストール
http://bleyer.org/icarus/
 上のサイトから以下のバージョンを入手。

   iverilog-0.9.6_setup.exe [11.5MB]

・iverilog-0.9.6_setup.exe を実行する。

・GTKwave込み(default)でインストール。

・Cygwinの.bashrcへパス設定追加。

 PATH=/cygdrive/c/iverilog/bin:$PATH


・テストベンチ内へVCDダンプ記述追加。

initial begin
$dumpfile("COUNTER_test.vcd");
$dumpvars(0, COUNTER_test);
end


・シミュレーション実行から波形ビューワ起動まで。

iverilog counter_test.v counter.v
vvp a.out
gtkwave.exe COUNTER_test.vcd


・GTKwave上で、信号を波形ウィンドウへ追加して波形確認。
スポンサーサイト



カレンダー
11 | 2023/12 | 01
- - - - - 1 2
3 4 5 6 7 8 9
10 11 12 13 14 15 16
17 18 19 20 21 22 23
24 25 26 27 28 29 30
31 - - - - - -
累積訪問者
現在の訪問者
現在の閲覧者数:
最新記事
最新トラックバック
最新コメント
月別アーカイブ
カテゴリ
プロフィール

bobgosso

Author:bobgosso
FPGAのブログへようこそ!

検索フォーム
RSSリンクの表示
リンク
ブロとも申請フォーム

この人とブロともになる

QRコード
QRコード