[IcarusVerilog]使い方
■インストール
・http://bleyer.org/icarus/
上のサイトから以下のバージョンを入手。
iverilog-0.9.6_setup.exe [11.5MB]
・iverilog-0.9.6_setup.exe を実行する。
・GTKwave込み(default)でインストール。
・Cygwinの.bashrcへパス設定追加。
・テストベンチ内へVCDダンプ記述追加。
・シミュレーション実行から波形ビューワ起動まで。
・GTKwave上で、信号を波形ウィンドウへ追加して波形確認。
・http://bleyer.org/icarus/
上のサイトから以下のバージョンを入手。
iverilog-0.9.6_setup.exe [11.5MB]
・iverilog-0.9.6_setup.exe を実行する。
・GTKwave込み(default)でインストール。
・Cygwinの.bashrcへパス設定追加。
PATH=/cygdrive/c/iverilog/bin:$PATH
・テストベンチ内へVCDダンプ記述追加。
initial begin
$dumpfile("COUNTER_test.vcd");
$dumpvars(0, COUNTER_test);
end
・シミュレーション実行から波形ビューワ起動まで。
iverilog counter_test.v counter.v
vvp a.out
gtkwave.exe COUNTER_test.vcd
・GTKwave上で、信号を波形ウィンドウへ追加して波形確認。
スポンサーサイト